Although RTL has traditionally been the starting point for digital design, it is becoming too expensive and time consuming. Algorithmic intensive hardware for AI in autonomous vehicles requires a new flow. Companies like BOSCH Visiontec, STMicroelectronics and Chips&Media have turned to a High-Level Synthesis (HLS) flow.
This accelerates algorithmic design time with a higher level of abstraction resulting in 50x less code than RTL.
Download this white paper to learn how designers creating autonomous drive solutions are using HLS.

 

The post Mentor Graphics White Paper: Fusing CMOS IC and MEMS Design for IoT Edge Devices appeared first on RCR Wireless News.